アナログ電圧入力において、差動入力は可能でしょうか?

入力は、全チャネル差動入力となっています。レンジは、各チャネルが個別に設定可能です。